Welcome![Sign In][Sign Up]
Location:
Search - VHDL Motor DC

Search list

[Other resourcedc_motor.vhd

Description: 用VHDL编写简单的直流电机控制方法.供大家参考.-use VHDL to prepare a simple DC motor control methods. For your reference.
Platform: | Size: 2406 | Author: lfy | Hits:

[Other resource直流电机控制器

Description: 直流电机控制器,属于精品vhdl源码,可在eda仿真工具上仿真实现-DC motor controller is excellent VHDL source code can be sown in simulation tools Simulation
Platform: | Size: 2699 | Author: 阎磊 | Hits:

[assembly language14241534251

Description: VHDL设计举例:直流电机控制器设计的程序清单-VHDL design, for example: DC motor controller design for the list of procedures
Platform: | Size: 7168 | Author: 焦健 | Hits:

[VHDL-FPGA-Verilog20084142011081129

Description: VHDL设计举例:直流电机控制器.docgfddrhd-VHDL design, for example: DC motor controller. Docgfddrhd
Platform: | Size: 12288 | Author: 焦健 | Hits:

[OtherVHDLexample

Description: 步进电机控制,直流电机控制,加法器,状态机等等经典的VHDL例子程序。-Stepper motor control, DC motor control, adders, state machines, etc. The classic example VHDL procedures.
Platform: | Size: 5120 | Author: 张庆东 | Hits:

[VHDL-FPGA-Verilogvhdl_zhiliudianjikongzhiqi

Description: 用vhdl编写的一个直流电机控制器-Vhdl prepared using a DC motor controller
Platform: | Size: 2048 | Author: jiangp | Hits:

[VHDL-FPGA-VerilogEP1C3_12_1_2_MOTO

Description: 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
Platform: | Size: 1193984 | Author: deadtomb | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 通用寄存器,移位寄存器,简单状态机,直流电机控制器,-General registers, shift register, a simple state machine, DC motor controllers, etc.
Platform: | Size: 17408 | Author: thqchinaxy | Hits:

[VHDL-FPGA-Verilogzl

Description: VHDL程序设计应用举例:直流电机控制器-VHDL programming and application examples: DC Motor Controller
Platform: | Size: 6144 | Author: 古月 | Hits:

[OtherEDAandVHDL

Description: EDA技术与VHDL 实用电路设计 步进电机和直流电机控制,VGA显示控制器设计,存储示波器设计,通用异步收发器设计,频率相位计设计,DDS设计,-EDA technology and VHDL practical circuit design stepper motors and DC motor control, VGA display controller design, storage oscilloscope design, universal asynchronous receiver design, frequency, phase meter design, DDS design,
Platform: | Size: 1571840 | Author: viet | Hits:

[VHDL-FPGA-Verilogzldj

Description: 一种直流电机伺服系统的设计,其中包括了各种控制模块的VHDL语言-A kind of DC motor servo system design, including the various control modules of VHDL language
Platform: | Size: 2002944 | Author: 刘建平 | Hits:

[VHDL-FPGA-VerilogDCmotor

Description: 给出了具体的基于FPGA的直流电机PWM控制VHDL程序,可用于毕业设计。-Given a specific FPGA-based DC motor PWM control VHDL program can be used to graduation.
Platform: | Size: 3188736 | Author: 张三 | Hits:

[VHDL-FPGA-VerilogFPGAmotor

Description: FPGA在直流电机调速中的应用,利用fpga进行PID闭环控制-tell us speed control for DC motor by FPGA,use fpga for PID circle control
Platform: | Size: 334848 | Author: 赵一 | Hits:

[VHDL-FPGA-VerilogDC-motor-controller-and-its-control

Description: 基于VHDL语言的直流电机控制器及其控制,本控制系统的总体结构,下位机是整个高频疲劳试验机控制器的核心。用于实现产生控制试验机的控制信号和数据,反馈信号的处理,以及和上位机进行数据通信。其控制功能强弱也直接影响着整个控制器性能的好坏-DC Motor Based on VHDL controller and its control, the overall structure of the control system, the next bit machine is the high-frequency fatigue test machine controller core. Control the testing machine used to implement the resulting control signal and data processing of the feedback signal, and the host computer and data communication. The strength of its control functions have a direct impact on the performance of the controller is good or bad
Platform: | Size: 3072 | Author: moyeo | Hits:

[VHDL-FPGA-Verilogdc-motor-speed-control

Description: 基于VHDL的pwm直流电动机双闭环调速-Pwm dc motor speed control based on VHDL
Platform: | Size: 357376 | Author: ganun | Hits:

[Othermotor

Description: 用VHDL语言控制直流电机的工作(4路输入)-VHDL language to control the DC motor (4 inputs)
Platform: | Size: 1312768 | Author: 张喆 | Hits:

[VHDL-FPGA-VerilogVHDL-book3

Description: D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mod5cnt:模5计数器的设计 mod10Kcnt:时钟分频器的设计 morsea:任意波生成器的设计 sw2reg:加载开关量到寄存器的设计 shift_reg8:移位数据到移位寄存器的设计 scroll:滚动7段数码显示设计 fib:Fibonacci序列设计 pwm4:PWM控制直流电机设计 pwmg:PWM控制伺服电机位置设计-D_flipflop: 1-bit D flip-flop design D_fllipflop_behav: 4-bit D flip-flop design reg1bit: 1-bit register design reg4bit: 4-bit register design shiftreg4: general shift register design ring_shiftreg4: ring shift register design debounce4: elimination shake circuit design clock_pulse: clock pulse circuit design count3bit_gate: 3-bit counter design count3bit_behav: 3-bit counter design mod5cnt: Mode 5 counter design mod10Kcnt: clock divider design morsea: arbitrary waveform generator design sw2reg: Load switch to register the design shift_reg8: shift data into the shift register design scroll: Scroll 7-segment digital display design fib: Fibonacci Sequence Design pwm4: PWM controlled DC motor design pwmg: PWM servo motor position control design
Platform: | Size: 9017344 | Author: 贾诩 | Hits:

[VHDL-FPGA-Verilogc_motor

Description: vhdl实现的直流电机控制器 通用程序 对不同fpga/cpld,可能需要修改部分源代码。-vhdl to DC motor controller General Procedure Different fpga/cpld, may need to modify some of the source code.
Platform: | Size: 3072 | Author: 李时针 | Hits:

[Other直流电机控制

Description: 实现直流电机的控制,增速和减速,用到了定时器(Realize DC motor control, speed up deceleration)
Platform: | Size: 1024 | Author: 艺术韩 | Hits:

[VHDL-FPGA-VerilogDCMotocyclone 1

Description: dc motor driver interface on epc1
Platform: | Size: 286720 | Author: igor5451 | Hits:
« 1 23 »

CodeBus www.codebus.net